Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Analysis
  • Published:

Projected performance of Si- and 2D-material-based SRAM circuits ranging from 16 nm to 1 nm technology nodes

Abstract

Researchers have been developing 2D materials (2DM) for electronics, which are widely considered a possible replacement for silicon in future technology. Two-dimensional transition metal dichalcogenides are the most promising among the different materials due to their electronic performance and relatively advanced development. Although field-effect transistors (FETs) based on 2D transition metal dichalcogenides have been found to outperform Si in ultrascaled devices, the comparison of 2DM-based and Si-based technologies at the circuit level is still missing. Here we compare 2DM- and Si FET-based static random-access memory (SRAM) circuits across various technology nodes from 16 nm to 1 nm and reveal that the 2DM-based SRAM exhibits superior performance in terms of stability, operating speed and energy efficiency when compared with Si SRAM. This study utilized technology computer-aided design to conduct device and circuit simulations, employing calibrated MoS2 nFETs and WSe2 pFETs. It incorporated layout design rules across various technology nodes to comprehensively analyse their SRAM functionality. The results show that, compared with three-dimensional structure Si transistors at 1 nm node, the planar 2DMFETs exhibited lower capacitance, leading to reduced cell read access time (−16%), reduced time to write (−72%) and lowered dynamic power (−60%). The study highlights the provisional benefits of using planar 2DM transistors to mitigate the performance degradation caused by reduced metal pitch and increased wire resistance in advanced nodes, potentially opening up exciting possibilities for high-performance and low-power circuit applications.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Scaling trends of SRAM dimensions and transistor driving capability.
Fig. 2: 6T SRAM cell and layouts.
Fig. 3: Stability comparisons between 2DM-based and Si SRAM cells.
Fig. 4: Capacitance and resistance comparisons between 2DM-based and Si SRAM cells.
Fig. 5: Speed and power comparisons between 2DM-based and Si SRAM cells.

Similar content being viewed by others

Data availability

The data supporting the plots in this paper and other findings from this study are available from the corresponding authors upon reasonable request.

References

  1. Chen, T.-A. et al. Wafer-scale single-crystal hexagonal boron nitride monolayers on Cu (111). Nature 579, 219–223 (2020).

    Article  CAS  PubMed  Google Scholar 

  2. Li, T. et al. Epitaxial growth of wafer-scale molybdenum disulfide semiconductor single crystals on sapphire. Nat. Nanotechnol. 16, 1201–1207 (2021).

    Article  CAS  PubMed  Google Scholar 

  3. Wan, Y. et al. Wafer-scale single-orientation 2D layers by atomic edge-guided epitaxial growth. Chem. Soc. Rev. 51, 803–811 (2022).

    Article  CAS  PubMed  Google Scholar 

  4. Shen, P.-C. et al. Ultralow contact resistance between semimetal and monolayer semiconductors. Nature 593, 211–217 (2021).

    Article  CAS  PubMed  Google Scholar 

  5. Li, W. et al. Approaching the quantum limit in two-dimensional semiconductor contacts. Nature 613, 274–279 (2023).

    Article  CAS  PubMed  Google Scholar 

  6. Wu, W.-C. et al. Scaled contact length with low contact resistance in monolayer 2D channel transistors. In 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) 1–2 (IEEE, 2023).

  7. Huang, J.-K. et al. High-κ perovskite membranes as insulators for two-dimensional transistors. Nature 605, 262–267 (2022).

    Article  CAS  PubMed  Google Scholar 

  8. Illarionov, Y. Y. et al. Ultrathin calcium fluoride insulators for two-dimensional field-effect transistors. Nat. Electron. 2, 230–235 (2019).

    Article  CAS  Google Scholar 

  9. Li, W. et al. Uniform and ultrathin high-κ gate dielectrics for two-dimensional electronic devices. Nat. Electron. 2, 563–571 (2019).

    Article  CAS  Google Scholar 

  10. Zhang, Y. et al. A single-crystalline native dielectric for two-dimensional semiconductors with an equivalent oxide thickness below 0.5 nm. Nat. Electron. 5, 643–649 (2022).

    Article  CAS  Google Scholar 

  11. Meng, W. et al. Three-dimensional monolithic micro-LED display driven by atomically thin transistor matrix. Nat. Nanotechnol. 16, 1231–1236 (2021).

    Article  CAS  PubMed  Google Scholar 

  12. Zhu, J. et al. Low-thermal-budget synthesis of monolayer molybdenum disulfide for silicon back-end-of-line integration on a 200 mm platform. Nat. Nanotechnol. 18, 456–463 (2023).

    Article  CAS  PubMed  Google Scholar 

  13. Naylor, C. H. et al. 2D materials in the BEOL. In 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) 1–2 (IEEE, 2023).

  14. Mii, Y.-J. Semiconductor innovations, from device to system. In 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) 276–281 (IEEE, 2022).

  15. Chiu, M.-H. et al. Metal‐guided selective growth of 2D materials: demonstration of a bottom‐up CMOS inverter. Adv. Mater. 31, e1900861 (2019).

    Article  PubMed  Google Scholar 

  16. Tong, L. et al. Heterogeneous complementary field-effect transistors based on silicon and molybdenum disulfide. Nat. Electron. 6, 37–44 (2023).

    CAS  Google Scholar 

  17. Wang, S. et al. Two-dimensional devices and integration towards the silicon lines. Nat. Mater. 21, 1225–1239 (2022).

    Article  CAS  PubMed  Google Scholar 

  18. Dorow, C. et al. Advancing monolayer 2-D nMOS and pMOS transistor integration from growth to Van der Waals interface engineering for ultimate CMOS scaling. In IEEE Transactions on Electron Devices Vol. 68 (ed. Grudowski, P.) 6592–6598 (IEEE, 2021).

  19. Liu, Y. et al. Promises and prospects of two-dimensional transistors. Nature 591, 43–53 (2021).

    Article  CAS  PubMed  Google Scholar 

  20. Su, S.-K. et al. Perspective on low-dimensional channel materials for extremely scaled CMOS. In 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) 403–404 (IEEE, 2022).

  21. Quhe, R. et al. Sub-10 nm two-dimensional transistors: theory and experiment. Phys. Rep. 938, 1–72 (2021).

    Article  CAS  Google Scholar 

  22. Liu, C.-J. et al. 2D materials-based static random-access memory. Adv. Mater. 34, e2107894 (2022).

    Article  PubMed  Google Scholar 

  23. Lee, T.-E. et al. Nearly ideal subthreshold swing in monolayer MoS2 top-gate nFETs with scaled EOT of 1 nm. In 2022 International Electron Devices Meeting (IEDM) 7.4.1–7.4.4 (IEEE, 2022).

  24. Chou, A.-S. et al. High-performance monolayer WSe2 p/n FETs via antimony-platinum modulated contact technology towards 2D CMOS electronics. In 2022 International Electron Devices Meeting (IEDM) 7.2.1–7.2.4 (IEEE, 2022).

  25. Radisavljevic, B., Radenovic, A., Brivio, J., Giacometti, V. & Kis, A. Single-layer MoS2 transistors. Nat. Nanotechnol. 6, 147–150 (2011).

    Article  CAS  PubMed  Google Scholar 

  26. Lee, D., An, J. Y., Lee, C. H., Bong, K. W. & Kim, J. Normally Off WSe2 nanosheet-based field-effect transistors with self-aligned contact doping. ACS Appl. Nano Mater. 5(12), 18462–18468 (2022).

  27. More Moore White Paper (IEEE International Roadmap for Devices and Systems (IRDS), 2016); https://irds.ieee.org/images/files/pdf/2016_MM.pdf

  28. Gupta, A. et al. Buried power rail metal exploration towards the 1 nm node. In 2021 IEEE International Electron Devices Meeting (IEDM) 22.5.1–22.5.4 (IEEE, 2021).

  29. Sakurai, T. Approximation of wiring delay in MOSFET LSI. IEEE J. Solid-State Circuits 18, 418–426 (1983).

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported by the National Science and Technology Council (NSTC) in Taiwan under grants NSTC 112-2223-E-002-011-MY3, 112-2218-E-002-028, 111-2222-E-002-015, 111-2622-8-002-001 and 111-2636-E-002-023. L.-J.L. thanks the support from the Jockey Club Hong Kong to the JC STEM lab of 3DIC, the Research Grant of Council of Hong Kong (CRS_PolyU502/22) and National Key R&D Project of China (2022YFB4400100). J.-K.H. appreciates the support from the City University of Hong Kong.

Author information

Authors and Affiliations

Authors

Contributions

V.P.-H.H. and L.-J.L. conceived the idea of this project. Y.-C.L. conducted the simulations and analyses, while J.-K.H. contributed expertise on material parameters for simulations. K.-Y.C. offered valuable insights from end-user perspectives. Y.-C.L. and V.P.-H.H. conducted data analysis and jointly drafted the paper with input from all authors. All authors discussed the results and commented on the paper.

Corresponding authors

Correspondence to Lain-Jong Li or Vita Pi-Ho Hu.

Ethics declarations

Competing interests

The authors declare no competing interests.

Peer review

Peer review information

Nature Nanotechnology thanks Tian-Ling Ren, Tom Schram and Han Wang for their contribution to the peer review of this work.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Extended data

Extended Data Fig. 1 The evolution of device structures.

Schematic diagrams depicting the device structures of single-gate planar MOSFETs, FinFETs, and nanosheet (NS) gate-all-around (GAA) FETs.

Extended Data Fig. 2 8T SRAM cell and layouts.

a, Schematic of the 8T SRAM cell, featuring two pull-up transistors (M3 and M6), two pull-down transistors (M1 and M4), and two pass-gate transistors (M2 and M5). WBLB and WBL denote the write bitlines, and WWL represents the write wordline. RBL stands for the read bitline, and RWL is the read wordline. QB and Q denote the data storage nodes for the 8T SRAM. b, c, 8T SRAM layouts with Si NSFETs and 2DMFETs at the 1 nm node. d, e, 8T SRAM layouts with Si FinFETs and 2DMFETs at the 7 nm node. Both 2DM and Si SRAM cells maintain the same cell area at each node. The wire widths of WL and BL are adjusted at each technology node to minimize wire resistance. Buried power rail (BPR) is implemented at the 1 nm node.

Extended Data Fig. 3 Speed comparison between 2D material-based and Si 8T SRAM cells.

a, b, Comparison of read access time and time to write between 2DM-based and Si-based 8T SRAM cells. The right-hand side Y-axis indicates the improvement of 2DM 8T SRAM over Si 8T SRAM at different technology nodes.

Extended Data Fig. 4 Material parameters of 2DM transistors.

Material parameters of n-type MoS2 and p-type WSe2 were used in our device simulations22, where m0 is the free electron mass. The contact resistance4,24 and mobility25,26 are taken from the literature.

Extended Data Fig. 5 Logic device parameters and design rules.

The parameters include metal pitch (Mx/M0/MD/M1), gate pitch, gate length (Lg), equivalent oxide thickness (EOT), and supply voltage (VDD) specified in IRDS27. CD stands for critical dimension. FinFET structure is used for Si-channel MOSFETs from 16 nm to 10 nm nodes. Two stacked nanosheets (NS) channels and a buried power rail (BPR) are used for Si-channel MOSFET at 1 nm node28. The vertical space between two stacked NS channels is 10 nm.

Extended Data Fig. 6 SRAM organization and interconnect model.

a, SRAM array with the select cell highlighted in red. The select cell signifies the worst-case scenario regarding WL and BL RC loading. b, The π3 model is used to consider the interconnect29.

Supplementary information

Supplementary Information

Supplementary Figs. 1–5 and discussion.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Lu, YC., Huang, JK., Chao, KY. et al. Projected performance of Si- and 2D-material-based SRAM circuits ranging from 16 nm to 1 nm technology nodes. Nat. Nanotechnol. (2024). https://doi.org/10.1038/s41565-024-01693-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1038/s41565-024-01693-3

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing